sunhongwei的个人空间 https://blog.eetop.cn/1708597 [收藏] [复制] [分享] [RSS]

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料

日志

clk gating hold 违例

已有 541 次阅读| 2023-3-21 11:30 |系统分类:芯片设计

我的理解:flow 会把clk gating 看做sink点 去balence ,所以clk gating 后面的那段越长 hold vio 就越大了。


大佬的原话:

通常這個hold time violation會發生在gating cell的地方

clock gating一般為了預防glitch的發生會用一級DFF用負緣去latch住enable訊號,在用這個DFF的輸出

去和Clock作AND。

而這個AND就會是gating cell。

因此你可以看到,在你的timing report中上面的clock是用rising edge來看

而下面經過的AND2D1是用falling edge來看,因此hold time一定是不過的。

但是通常enable訊號的行為,會是一直拉住的所以你的hold time絕對會是沒問題的。

在prime time裡面我會對你的gating cell下 set_disable_clock_gating_check [get_cell ***]

來處理這條路徑


点赞

评论 (0 个评论)

facelist

您需要登录后才可以评论 登录 | 注册

  • 关注TA
  • 加好友
  • 联系TA
  • 0

    周排名
  • 0

    月排名
  • 0

    总排名
  • 1

    关注
  • 2

    粉丝
  • 0

    好友
  • 2

    获赞
  • 4

    评论
  • 229

    访问数
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-28 22:01 , Processed in 0.015354 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部