菜鸟要飞的个人空间 https://blog.eetop.cn/1149070 [收藏] [复制] [分享] [RSS]

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料

日志

分享 不需要乘法的混频
2015-5-25 18:44
假如射频信号156.3MHz,Fs为122.88MHz,采样后中频为30.72MHz,Fs=4Fc,所以可以乘以cos(x)与-sin(x),三角函数值可取1 0 -1 0/0 -1 0 1 。这样就不用乘法器了
个人分类: 数字信号处理|674 次阅读|0 个评论
分享 关于System Generator中频谱仪(Spectrum Scope)显示正负频率的设置
2015-5-21 13:11
在System Generator中使用Spectrum Scope时,可以对其操作来选择只显示正品率或显示正负频率。一般默认显示正负频率,但如果是拿来别人的工程,就有可能别人设置的是只显示正频率,这时想改为显示正负频率的话,按一下步骤来: 点菜单栏“View” -- 点“Spectrum Settings” -- 点开“Trace options ...
个人分类: 数字信号处理|2077 次阅读|0 个评论
分享 (转)通过文件读写方式实现Matlab和Modelsim的联合仿真
2015-4-23 15:57
虽然Modelsim的功能非常强大,仿真的波形可以以多种形式进行显示,但是当涉及到数字信号处理的算法的仿真验证的时候,则显得有点不足。而进行数字信号处理是Matlab的强项,不但有大量的关于数字信号处理的函数,而且图形显示功能也很强大,所以在做数字信号处理算法的FPGA验证的时候借助Matlab会大大加快算法验证的速度。 ...
个人分类: 仿真|1555 次阅读|0 个评论
分享 FPGA常见问题(FAQ)(转)
2014-7-31 15:17
1、编译ModelSim需要的Xilinx库 2、ISE中一些常用的实用功能 3、门控时钟整理与总结 4、JTAG连接不上 5、ISE中添加属性,使ModelSim能显示仿真代码覆盖率 6、Xilinx软件安装事项 7、为什么Xilinx器件中BRAM大小是18K? 8、Toggle Path是什么意思? 9、iMPACT可不可以单独装? 不断增加中 1、编译ModelSim需要 ...
个人分类: FPGA|2696 次阅读|0 个评论
分享 FIFO使用经验2(读写使能与级联)
2014-6-27 11:27
FIFO写数据时,写使能与数据是对齐的,读数时,在波形上看,数据比读使能晚一个周期。若是FIFO级练的话,后一级FIFO的写使能要比上一级FIFO的读使能晚一周期,这样才能衔接上。
个人分类: FPGA|2713 次阅读|0 个评论
分享 如何在EDK里查看工程的资源使用率
2014-6-24 14:44
在工程文件夹下的implementation文件夹下打开Xlnx_auto_o.ise文件,就会进入ISE开发环境,可以查看资源使用报告
个人分类: FPGA|1059 次阅读|0 个评论
分享 FIFO使用经验
2014-6-23 10:55
我在一个工程中使用了一个异步FIFO作为接收数据的缓存,以一段一段读取的方式来用。就是写到一定量才读,读也读这个确定的量,那么就有了两个独立的记录这个量的读、写两个计数器,还有读写完成信号等。如果FIFO的wr_en,rd_en以及独立计数器的使能是同源的话,没问题。但是如果这几个使能不是同源的话,就会有问题。嗯,就 ...
个人分类: FPGA|919 次阅读|0 个评论
分享 JTAG下载速度调整
2014-6-11 11:05
在ISE 里调整JTAG的下载速度。右击Generate Programming File 属性----点 Configuration Options -------Configuration Rate
个人分类: FPGA|2251 次阅读|0 个评论
分享 ISE 的功耗分析
2014-6-11 11:02
使用XPwer Analysis 工具。在ISE 的Tool工具里
个人分类: FPGA|1686 次阅读|0 个评论
1234
  • 关注TA
  • 加好友
  • 联系TA
  • 0

    周排名
  • 0

    月排名
  • 0

    总排名
  • 0

    关注
  • 6

    粉丝
  • 1

    好友
  • 4

    获赞
  • 1

    评论
  • 4533

    访问数
关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-24 12:30 , Processed in 0.014389 second(s), 4 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部