xiaoxiaochen的个人空间 https://blog.eetop.cn/748604 [收藏] [复制] [分享] [RSS]

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料
统计信息

已有 391 人来访过

    现在还没有相册

    现在还没有记录

  • 自我介绍ASIC Engineer

查看全部个人资料

    现在还没有动态

现在还没有日志

你需要登录后才可以留言 登录 | 注册


milton010 2023-12-22 15:13
好的,已经可以了,1patch后Indago只需替换framework-licsvc-15.22-SNAPSHOT.jar既可以使用,谢谢你!
xiaoxiaochen 2023-12-20 15:09
xcelium 和 Indago 不一样, 不需要破解 framework-licsvc-15.22-SNAPSHOT.jar 直接使用 ecc patcher 像 1patch 将会成功破解
milton010 2023-12-19 16:11
看了你写的关于C家 Indago License 破解问题,我目前是XCELIUM 23.09.001版,没有framework-licsvc-15.22-SNAPSHOT.jar相似文件,另外有LmApi-12.04-b018.jar和LmApi-20.01-s002.jar两个文件 ,知道要如何处理吗?谢谢!
查看全部
最近访客
关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-25 11:32 , Processed in 0.012926 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部