sh-sh的个人空间 https://blog.eetop.cn/850711 [收藏] [复制] [分享] [RSS]

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料

日志

日志 [2017年03月20日]fsdb dump 多维数组(memory)

已有 11486 次阅读| 2017-3-20 23:48 |个人分类:电路|系统分类:芯片设计

可以使用fsdbDumpMDA命令进行dump。
如果使用的是Ncverilog/irun:
1.使用tcl进行dump控制的情况,需要在tcl文件中加入下面的一行,这样,默认dump出design中的所有多维数组。
  call fsdbDumpMDA
2.使用RTL进行dump的情况,需要在原有的Testbench的top module文件中加入下面的一行,这样,默认dump出design中的所有多维数组。
$fsdbDumpMDA

点赞

评论 (0 个评论)

facelist

您需要登录后才可以评论 登录 | 注册

  • 关注TA
  • 加好友
  • 联系TA
  • 0

    周排名
  • 0

    月排名
  • 0

    总排名
  • 0

    关注
  • 2

    粉丝
  • 1

    好友
  • 0

    获赞
  • 1

    评论
  • 1134

    访问数
关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-18 11:09 , Processed in 0.023529 second(s), 15 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部