cdy200824的个人空间 https://blog.eetop.cn/840749 [收藏] [复制] [分享] [RSS]

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料

日志

SystemVerilog的文件读取方法

已有 2786 次阅读| 2018-10-19 17:08 |系统分类:芯片设计

最近需要需要用sv读取文件,然后设定环境参数的问题。
用$fscanf和$feof结合的方式解决了。
具体做法如下:
integer fd;
string name;
reg value;
reg setting_value;

fd=$fopen("ABC.txt","r");
while(!$feof(fd))begin
     $fscanf(fd, "%s %d", name, value);
     $display( "%s %d", name, value);
     if(name == "my_name") begin
        setting_value = value;
        break;
     end
end

ABC.txt的文件内容每行都是字符串+数字组成:
string_A 1
srting_B 0
...




点赞

评论 (0 个评论)

facelist

您需要登录后才可以评论 登录 | 注册

  • 关注TA
  • 加好友
  • 联系TA
  • 0

    周排名
  • 0

    月排名
  • 0

    总排名
  • 0

    关注
  • 1

    粉丝
  • 0

    好友
  • 0

    获赞
  • 0

    评论
  • 125

    访问数
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-29 21:31 , Processed in 0.021666 second(s), 14 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部