njithjw的个人空间 https://blog.eetop.cn/505866 [收藏] [复制] [分享] [RSS]

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料

日志

分享 数字量化1bit等于6dB
2012-2-26 21:32
db_1bit=10*log10((2^(n+1)/(2^n))^2) =20*log10(2) =6.0206
个人分类: matlab|1935 次阅读|0 个评论
分享 在matlab中将数据限制在某一范围之内
2012-2-25 22:52
%将一组数据设置在某个范围之内 close a=round(100*randn(2048,1)); b=max(min(a,127),-128); plot(a) hold on plot(b,'r') hold on plot( ,127,'black','linewidth',5) hold on plot( ,-128,'black','linewidth',5)
个人分类: matlab|7454 次阅读|0 个评论
分享 问题定位
2012-2-13 23:41
定位问题时对于一个不确定是否可以重现的问题首先一定要保存现场的环境,然后再作出进一步的操作
个人分类: FPGA_Verilog|424 次阅读|0 个评论
分享 接口逻辑
2012-2-11 23:43
接口逻辑的测试功能最好可以包括下面2点 1.发送固定数,PN序列,递增序列 2.数据采集功能 如果是源同步接口,需要对随路时钟进行检测,对跨时钟域的FIFO的读写地址,读写冲突进行统计
个人分类: FPGA_Verilog|608 次阅读|0 个评论
分享 RAM注意事项
2012-2-8 21:29
使用RAM时注意事项 1.是否会出现读写冲突 2.出现读写冲突时是否对数据有影响 3.是否存在读取未写入数据的区域,即是否会出现读取无效数据的情况 4.是否存在数据覆盖的情况,即原来区域内的数据还没有读取又写入新的数据的情况 ...
个人分类: FPGA_Verilog|958 次阅读|3 个评论
分享 是否可以用下面的方式对时钟进行自动加扰测试?
2012-2-7 22:54
module test2 ( input rst, input& ...
个人分类: FPGA_Verilog|669 次阅读|0 个评论
分享 计数器清零后变为1的问题
2012-1-18 22:39
文件: asyn_cnt_clr.rar
个人分类: FPGA_Verilog|364 次阅读|0 个评论
分享 altera pll
2012-1-16 20:27
altera的pll在丢失参考时钟,参考时钟又恢复的情况必须对pll进行一次复位操作,否则输出时钟的相位有可能会发生改变。 我现在还没有测试个c0的相位发生改变的情况,但是测试出来过其它几个输出时钟相位发生改变的现象。同时有同事在低温情况下测试出来c0发生相位改变的情况。 ...
个人分类: FPGA_Verilog|968 次阅读|2 个评论
分享 双引号和花括号的区别
2012-1-15 20:12
双引号和花括号用来将单词组合到一起,形成一个参数。双引号和花括号的差别在于双引号允许组内替代,而花括号不允许。该规则适用于命令替代,变量替代和反斜杠替代。 (System32) 1 % set s hello hello (System32) 2 % puts "The length of $s is " The length of hello is 5 (System32) 3 % puts {The length of $s i ...
个人分类: tcl_tk|808 次阅读|0 个评论
分享 FPGA输出时钟
2012-1-15 19:37
当需要FPGA输出时钟时,建议使用FPGA内部的DDR IO输出;例如需要使用FPGA输出一个125MHz的随路时钟,则在FPGA内部使用125MHz时钟驱动一个DDR IO模块,DDR模块的H和L分别接1和0即可。
个人分类: FPGA_Verilog|3896 次阅读|2 个评论
  • 关注TA
  • 加好友
  • 联系TA
  • 0

    周排名
  • 0

    月排名
  • 0

    总排名
  • 0

    关注
  • 2

    粉丝
  • 0

    好友
  • 0

    获赞
  • 17

    评论
  • 3512

    访问数
关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-20 12:37 , Processed in 0.017139 second(s), 4 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部