njithjw的个人空间 https://blog.eetop.cn/505866 [收藏] [复制] [分享] [RSS]

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料

日志

按键去抖动

已有 801 次阅读| 2018-6-27 23:11 |个人分类:FPGA_Verilog|系统分类:芯片设计

module debouncer
    (
    input           key_in,
    input           clk_50m,

    output  reg     key_out
    );

reg     [19:0]      cnt;
reg                 sample_en;
always @ (posedge clk_50m)
begin
    if (cnt >= 20'd999999)
        cnt <= 20'd0;
    else
        cnt <= cnt + 20'd1;
end

always @ (posedge clk_50m)
begin
    if (cnt == 20'd999999)
        sample_en <= 1'b1;
    else
        sample_en <= 1'b0;
end

reg                 key_in_dly1;
reg                 key_in_dly2;
reg                 key_in_dly3;

always @ (posedge clk_50m)
begin
    if (sample_en == 1'b1)
    begin
        key_in_dly1 <= key_in;
        key_in_dly2 <= key_in_dly1;
        key_in_dly3 <= key_in_dly2;
    end
    else ;
end

wire                key_in_act;
wire                key_in_deact;
assign key_in_act = key_in_dly1 || key_in_dly2 || key_in_dly3;
assign key_in_deact = key_in_dly1 && key_in_dly2 && key_in_dly3;

always @ (posedge clk_50m)
begin
    if (key_in_act == 1'b0)
        key_out <= 1'b0;
    else if (key_in_deact == 1'b1)
        key_out <= 1'b1;
    else ;
end

endmodule

点赞

评论 (0 个评论)

facelist

您需要登录后才可以评论 登录 | 注册

  • 关注TA
  • 加好友
  • 联系TA
  • 0

    周排名
  • 0

    月排名
  • 0

    总排名
  • 0

    关注
  • 2

    粉丝
  • 0

    好友
  • 0

    获赞
  • 17

    评论
  • 3512

    访问数
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-29 07:42 , Processed in 0.014200 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部