litterstrong的个人空间 https://blog.eetop.cn/319186 [收藏] [复制] [分享] [RSS]

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料

日志

Error: Can't recognize silicon ID for device 1

已有 9189 次阅读| 2014-10-31 15:14

 

遇到这种问题排查步骤:

  1. Quartus中所选中的FPGA是否与电路板中的FPGA相符合
  2. 检查电路是否存在问题。包括电路设计是否正确,上拉电阻,下拉电阻是否虚焊或者阻值选择是否合适。
  3. 换一个下载器试试。有可能是下载器坏了,或者用开发板试试,同样能确定是不是下载器的问题。
  4. 确定配置芯片是否损坏。可以使用JTAG烧写配置芯片测试下,如果可写那就可以排除该错误,
  5. 当然,网友还说与QUARTUS的license有关的可能, 换了个Licence,重装了Quartus软件就可以了。
  6. 据说下载线长不应该超过30CM,但是有的网友说自己做的大概有50CM也可以正常使用。

    以上是网上提供的经验之谈,另外还有一个办法可以将问题很快的锁定,在下载程序的时候,通常大家只是使用了Start下载,Add File加载文件。在不成功的时候可以使用Auto Detect检测链路,这时会有提示信息来提示连接不上的原因。可以根据提示的问题来做对应的排查。

    排除配置芯片是否损坏用JTAG口利用JIC文件将FPGA芯片当做桥接芯片来对EPCS芯片进行配置。如果可以,并且上电后数据导入正确,说明配置芯片没有。JIC文件是通过将sof文件进行转化,然后通过jtag口下载近配置芯片的,同AS口下载pof文件有异曲同工之妙,只需将下载线插在jtag口上即可。JIC文件生成的方法如下

首先编译生成SOF文件,也就是JTAG方式下的下载文件,然后

1 在“File”里选择“Convert Programming Files”,出现对话框后

2 在programming file type里选.jic

3 在configuration device里选择相应的串行配置器件

4 file name选择转换文件,生成的jic文件想要保存的名字

5 选sof data,单击add file选择sof文件,用JIAG下载方式生成的文件

6 flash loader单击add device选择FPGA器件

    然后OK生成JIC文件,如果显示"Generated XXX.jic successfully",就证明成功了。后面的事情跟JTAG下下载一样,只是将文件类型选为JIC文件,然后进行下载即可。

    如果配置芯片完后到最后显示的不成功,则会有相应的提示,我就碰见过配置芯片存储空间小的问题。根据问题提示进行修改即可。


    注意:使用.sof、.pof、.jic下载文件的时候,选择相应的模式,sof和jic的文件都可以使用JIAG,pof的文件要使用AS的下载模式,并且要接到相应的硬件接口上。为了简化电路设计,可以使用FPGA当做桥接芯片,这样就只需要一个JTAG的硬件接口。

点赞

评论 (0 个评论)

facelist

您需要登录后才可以评论 登录 | 注册

  • 关注TA
  • 加好友
  • 联系TA
  • 0

    周排名
  • 0

    月排名
  • 0

    总排名
  • 0

    关注
  • 8

    粉丝
  • 6

    好友
  • 8

    获赞
  • 12

    评论
  • 2122

    访问数
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-29 04:49 , Processed in 0.022757 second(s), 13 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部