westpower的个人空间 https://blog.eetop.cn/285267 [收藏] [复制] [分享] [RSS]

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料

日志

分享 VHDL Tutorial
2010-5-6 08:01
VHDL Tutorial VHDL Tutorial 1 1. Introduction. 1 2. Levels of representation and abstraction. 2 3. Basic Structure of a VHDL file. 3 Behavioral model 5 Concurrency. 6 Structural description. 6 4. Lexical Elements of VHDL. 10 5. Data Objects: Signals, Variables and ...
个人分类: Digital FE|2254 次阅读|0 个评论
分享 中医 五行养身说
2010-4-27 09:39
五行 五脏 五色 五方 五情 五味 五官 木 肝 青 东 怒 酸 目 火 心 赤 南 喜 苦 舌 土 脾 黄 中 思 甘 口 金 肺 ...
个人分类: Essay|440 次阅读|0 个评论
分享 IUS nc simulator
2010-4-23 11:23
1.This approach allows completely transparent mixed language, mixed-level, and mixed cycle-event simulations. It also lays the foundation for mixed signal simulations. 2.External Interface: (1) VHDL: VHPI,OMI (2) Verilog: PLI, VPI, OMI 3. After elaboration, Single executable code stream, ...
个人分类: Digital FE|5814 次阅读|1 个评论
分享 比尔盖茨的十句名言
2010-4-23 09:33
1 . 社会充满不公平现象。你先不要想去改造它,只能先适应它。(因为你管不了它)。 2 . 世界不会在意你的自尊,人们看的只是你的成就。在你没有成就以前,切勿过分强调自尊。 (因为你越强调自尊,越对你不利)。 3 . 你只是中学毕业,通常不会成为CEO,直到你把CEO职位拿到手为 ...
个人分类: Essay|675 次阅读|8 个评论
分享 DDR SDRAM基本原理详细介绍
2010-4-22 08:46
DDR SDRAM全称为Double Data Rate SDRAM,中文名为“双倍数据流SDRAM”。DDR SDRAM在原有的SDRAM的基础上改进而来。也正因为如此,DDR能够凭借着转产成本优势来打败昔日的对手RDRAM,成为当今的主流。由于 SDRAM的结构与操作在上文已有详细阐述,所以本文只着重讲讲DDR的原理和DDR SDRAM相对于传统SDRAM(又称SDR SDRAM)的 ...
个人分类: Digital FE|12235 次阅读|6 个评论 热度 4
分享 Linux Find
2010-4-8 11:54
Linux Find 命令精通指南 作者:Sheryl Calish 简单介绍这一无处不在的命令的强大的方面以及混乱的方面。 2008 年 7 月发布 Linux find 命令是所有 Linux 命令中最有用的一个,同时也是最混乱的一个。它很难,因为它的语法与其他 Linux 命令的标准语法不同。但是,它很强大,因为它允许您按文件名、 ...
个人分类: linux skill|1506 次阅读|0 个评论
分享 WDT
2010-3-9 10:48
WDT是英语Watchdog Timer的缩写字母。   Watchdog Timer 中文名看门狗。是一个定时器电路, 一般有一个输入,叫喂狗,一个输出到MCU的RST端,MCU正常工作的时候,每隔一端时间输出一个信号到喂狗端,给 WDT 清零,如果超过规定的时间不喂狗,(一般在程序跑飞时),WDT 定时超过,就回给出一个复位信号到MCU,使MCU复位. 防止MCU死 ...
个人分类: Digital FE|952 次阅读|4 个评论

本页有 3 篇日志因作者的隐私设置或未通过审核而隐藏

  • 关注TA
  • 加好友
  • 联系TA
  • 0

    周排名
  • 0

    月排名
  • 0

    总排名
  • 0

    关注
  • 5

    粉丝
  • 0

    好友
  • 10

    获赞
  • 21

    评论
  • 429

    访问数
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-26 00:52 , Processed in 0.042369 second(s), 11 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部