ryanhunter的个人空间 https://blog.eetop.cn/1765812 [收藏] [复制] [分享] [RSS]

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料

日志

分享 UVM Report机制概述
2020-11-5 23:43
1. UVM Report 机制概述 相信大家对于 UVM 的 report 的使用都非常熟悉, `uvm_info, `uvm_warning, `uvm_error, `uvm_fatal 等,其实 UVM 还提供了非常丰富的 report 用法,这里先介绍 ...
个人分类: 验证|1831 次阅读|0 个评论
分享 UVM REG Model入门
2020-11-5 22:44
1. UVM REG Model 入门 本章通过一个非常小的示例来解释 UVM REG 中一些常用操作的实现。 1.1. 示例 & ...
个人分类: 验证|2091 次阅读|0 个评论
分享 UVM REG Model (updating)
2020-11-4 23:26
1. UVM REG Model UVM REG Model 部分包含了 REG 模型与 MEM 模型, REG 模型主要包含 uvm_reg_block , uvm_reg_reg , uvm_reg_field 以及包含一些 path 和地址信息的 uvm_reg_map 。 思路:简介各个 reg 相关的 class ,然后具体描述一下每个 class ...
个人分类: 验证|2344 次阅读|0 个评论 热度 10
分享 uvm_reg_field
2020-11-4 22:52
本节详细介绍该类中存在的各个 method 以及对应的一些 member 的功能。首先, reg field 类是基于 uvm_object 继承而来,因此它包含了 uvm_object 的所有特性。 1.1.1. 类成员介绍 value , uvm_reg_data_t 类型的 ...
个人分类: 验证|2408 次阅读|0 个评论
  • 关注TA
  • 加好友
  • 联系TA
  • 0

    周排名
  • 0

    月排名
  • 0

    总排名
  • 0

    关注
  • 1

    粉丝
  • 0

    好友
  • 0

    获赞
  • 0

    评论
  • 90

    访问数
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-29 22:25 , Processed in 0.024455 second(s), 11 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部