吹泡泡的小鱼的个人空间 https://blog.eetop.cn/layoutart [收藏] [复制] [分享] [RSS]

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料

日志

分享 应用calibredrv做LVL
2019-10-28 16:51
(一)calibredrv的应用 在做版图LVL,对比上一版和这一版版图动了哪些东西,我们可以应用calibredrv进行验证: (1)termina中输入:calibredrv (2)打开版图,做LVL对比 未完待续 (二)calibre start RVE的应用 (1)打开修改过的layout窗口***B (2)首先到calibre结果目录下找到修改前的***A.erc.results版本 ...
个人分类: Calibre|4179 次阅读|1 个评论 热度 3
分享 poly resistor 第三端的接法
2019-10-28 16:35
如果poly resistor schematic 是三端的,其sub电位有3种接法,具体跟电路商议: (1)直接画在sub上,第三端接(vsub)电位 (2)poly resistor 下画newll 接power (3)poly resistor 下画nwell 接 ground(vsub) 第(2)(3)种方法可以有效阻隔sub对电阻的影响,提高精度,但是第三种接法,要看电阻nwell 周 ...
个人分类: Analayout|2426 次阅读|0 个评论 热度 3
分享 digital layout design for ana-layout
2019-10-28 16:07
(1)定义pitch:用inter metal min width + min spacing 定义1pitch大小 例如:tsmc018bcd 1P6M inter metal : min width=0.28um,min spacing=0.28um,那么pitch=0.56um (2)数字库的height and width 必须是pitch的整数倍 例如:13pitch(13x0.56um),14pitch(14x0.56um) (3)上下两侧要求 contac ...
个人分类: Analayout|1214 次阅读|0 个评论
分享 cadence中layout常用设置及快捷键(不定期更新)
2019-10-28 14:35
知其然,知其所以然: (一)Edit 编辑 1.排线打斜角 Edit -- Advanced -- Modify Corner 2.复制粘贴(和copy类似) Edit -- Basic -- Y ank(y/shitf y) 先选中器件,然后y拷贝版图,然后再shift+y粘贴版图 快捷键v:将两个器件attach/detach在一起 快捷键ctrl+shift+k:可以清除从顶层 ...
个人分类: Analayout|16517 次阅读|16 个评论 热度 44
分享 LED点阵介绍
2019-10-25 16:47
点阵 LED 显示屏作为一种现代电子媒体,具有灵活的显示面积(可任意分割和拼装)、高亮度、长寿命、数字化、实时性等特点,应用非常广泛。 前边学了 LED 小灯和 LED 数码管后,学 LED 点阵就要轻松得多了。一个数码管是 8 个LED 组成,同理,一个 8*8 的点阵就是由 64 个 LED 小灯组成。 一个数码管就是 8 个 LED 小灯, ...
个人分类: MCU|673 次阅读|0 个评论
分享 51单片机的中断寄存器
2019-10-24 13:47
51单片机的中断寄存器(地址0xA8,可位寻址)有两个: (1)中断使能寄存器 (2)中断优先级寄存器 下面分别介绍 (1)中断使能寄存器 位 7 6 5 4 3 2 1 0 符号 EA -- &nbs ...
个人分类: MCU|864 次阅读|0 个评论
分享 51单片机中定时器的寄存器
2019-10-23 16:07
标准的 51 单片机内部有 T0 和 T1 这两个定时器,T 就是 Timer 的缩写,现在很多 51 系列单片机还会增加额外的定时器,在这里我们先讲定时器 0 和 1。前边提到过,对于单片机的每一个功能模块,都是由它的 SFR,也就是特殊功能寄存器来控制。与定时器有关的特殊功能寄存器,有以下几个,大家不需要去记忆这些寄存器的名字 ...
个人分类: MCU|12205 次阅读|0 个评论 热度 10

本页有 3 篇日志因作者的隐私设置或未通过审核而隐藏

  • 关注TA
  • 加好友
  • 联系TA
  • 0

    周排名
  • 0

    月排名
  • 0

    总排名
  • 6

    关注
  • 87

    粉丝
  • 29

    好友
  • 96

    获赞
  • 45

    评论
  • 11219

    访问数
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-26 09:26 , Processed in 0.026265 second(s), 11 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部