路科验证的个人空间 https://blog.eetop.cn/1561828 [收藏] [复制] [分享] [RSS]

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料

日志

分享 SV及UVM高级话题篇之二:SystemVerilog开源公共库(下)
2018-6-29 18:50
SV开源库之二:cluelib cluelib也是一个开源库,它来自于ClueLogic公司。而它的开发者也在其介绍cluelib的论文中谈到,SV缺少的底层函数之所以目前开源地较少,归结于几个现实因素: 大多数的verifier缺少时间去开发一个完整的底层库。 开发一个稳定的库需要花足够多的时间去测试。 去定义一致的、可配 ...
个人分类: 验证系统思想|1393 次阅读|0 个评论
分享 SV及UVM高级话题篇之一:SystemVerilog开源公共库(上)(续2)
2018-6-29 18:48
// Write the new DOM to a .INI file fi = new; fi.serialize("dst.ini", dom); 上面的例码首先解析了YAML文件格式,继而将配置信息存储到DOM结构对象中,稍后对其进行数据修改,并将更新后的数据再输出为.ini格式。存储到dst.ini文件的数据内容如下: scalarInt=42 scalarInt=1234 scalarS ...
个人分类: 验证系统思想|1945 次阅读|0 个评论
分享 SV及UVM高级话题篇之一:SystemVerilog开源公共库(上)(续)
2018-6-29 18:46
在得到了文件列表之后,我们可以通过svlib提供的更多函数将与文本状态信息获取,继而做更多的操作。例如下面的例码中,通过file_mTime来提供任何一种文件最后修改的日期,同时将这一日期按照更易读的形式通过函数sys_formatTime取得转化。 longint mostRecentTime = sys_dayTime() – 24*60*60; string mostRecentF ...
个人分类: 验证系统思想|2729 次阅读|0 个评论
分享 SV及UVM高级话题篇之一:SystemVerilog开源公共库(上)
2018-6-29 18:41
伴随着SV推广的热浪,SV已经不只是作为一种验证语言流行开来,实际上它同样在早期也作为了一种硬件描述语言和一种通用编程语言得到了应用。在软件编程的过程中,SV同Java一般也有了更为丰富的数据类型和类的相应概念,这种面向对象编程的思想使得一些软件工程师在“移民”到验证领域时的阵痛期并不会太久,但随后他们会感 ...
个人分类: 验证系统思想|3618 次阅读|0 个评论
分享 SV及UVM接口应用篇之五(终):脚本语言与UVM的交互(续)
2018-6-29 18:38
对于Tcl的命令接口,考虑到Tcl可以实现信号、参数以及变量的修改,事件和时间的等待,我们可以利用这些丰富的功能实现具体的要求,最终完成对UVM测试的线上控制。这些可以实现的要求可以包括但不局限于: 定义基本的配置列表 定义哪些参数可以随机化 定义可随机化变量的边界值 选择可以挂载到sequenc ...
个人分类: 验证系统思想|2549 次阅读|0 个评论
分享 SV及UVM接口应用篇之五(终):脚本语言与UVM的交互
2018-6-29 18:34
脚本语言在验证日常中是一位好帮手,除了在不同工具、环境和流程之间可以起到粘合剂的作用,也可以提高验证的灵活性。我们在验证激励的产生和控制上面谈到过,验证序列的产生可以分为: 线下生成(offline generation),即在仿真之前产生 线上生成(online generation),即在仿真过程中产生 同时控 ...
个人分类: 验证系统思想|2189 次阅读|0 个评论
分享 Verification和Validation傻傻分不清楚?面经重点!
2018-6-14 21:31
Verification : 相比 Validation ,确认产品功能、服务或系统是否符合规则、要求、规范和强制条件, 通常是还没有面向客户的内部过程,看 有没有“把事情做对” 。 Validation :相比 Verification ,要确保产品功能、服务或系统能够满足客户或其他利益相关者的需求, 通常测试对外部客户的接受 ...
个人分类: 验证前沿资讯|29647 次阅读|0 个评论
分享 我跟你谈SV接口类,你却以为我跟你谈接口?(续)
2018-6-14 21:29
C.数据串行组织 对于复杂环境的调试,小伙伴们是怎么做的?路桑指的是,如果有多个UVC在发送、监测不同种类的事务,那么你是如何记录和分析他们的?一些仿真器有较灵活的监测方式,例如Questasim的事务记录功能就不错,还有VCS的SmartLog也能提供一些帮助。不过还是比不上自己定制化的来得贴心好用,而Sokorac ...
个人分类: 验证前沿资讯|2021 次阅读|0 个评论
分享 我跟你谈SV接口类,你却以为我跟你谈接口?
2018-6-14 21:27
这个话题已经积郁在胸口很久了,然而各种事情每天都在侵占着路桑的大(ling)脑(hun),关于接口类(interface class)的介绍和应用一拖再拖,直到今天无意间翻出一篇很好的文章,来自于ARM公司Stan Sokorac。 SystemVerilog Interface Classes - More Useful Than You Thought (2nd place best paper award) 在发 ...
个人分类: 验证前沿资讯|3658 次阅读|0 个评论
分享 EDA,该如何做这困兽之斗
2018-6-14 21:25
EDA(Electronic Design Automation电子设计自动化)之所以存在,是因为半导体公司觉得开发和维护工具的成本太昂贵,不过如果EDA想要继续好好生存下去,就得 努力成为创新周期的一部分 了。 为什么 机器学习( machine learning ) 想要在EDA中产生影响仍然需要很长时间?与该领域的专家交谈时, ...
个人分类: 验证前沿资讯|1845 次阅读|0 个评论
  • 关注TA
  • 加好友
  • 联系TA
  • 0

    周排名
  • 0

    月排名
  • 0

    总排名
  • 0

    关注
  • 253

    粉丝
  • 25

    好友
  • 33

    获赞
  • 45

    评论
  • 访问数
关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-19 17:11 , Processed in 0.039908 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部