在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
分享 验证平台自动化篇之三:如何定制一款TB自动化工具?(上)
路科验证 2018-6-10 12:25
作为QuestaSim10.6(2016年发布)的新特性,UVM Framework受到了不少的关注,这与它旨在于将M家(MentorGraphics)验证套件(QuestaSim、Questa VIP、inFact)做资源整合,发挥testbench建立效率最大化有关,以此来完成资源的深度应用。毫无疑问,如果路粉们所在的公司就在使用M家系列的验证套件,并且事深度绑定用户的话 ...
个人分类: 验证系统思想|1056 次阅读|0 个评论
分享 验证平台自动化篇之五(终):如何定制一款TB自动化工具?(下)
路科验证 2018-6-10 12:22
测试框架和测试用例的垂直复用 uTB的优点不但在于它可以自动化生成,而它的另外一大优点还在于构建在这一结构之上的测试标准化。同我们上面介绍的uTB依赖的资源中,统一的测试指令集和通信网络使得各个VIP都可以从uTB master一侧接收到命令,而这些统一的指令(TLM2 socket数据包格式)在穿过了uNet抵达uTB slave之后 ...
个人分类: 验证系统思想|1251 次阅读|0 个评论
分享 验证平台自动化篇之二:UVM Framework
路科验证 2018-6-10 11:04
一个UVM使用者,从新手到精通大致会经历三年的时间,而在经过这三年之后,verifier会有倦怠期。除了不可避免地在80%以上工作处于重复性劳动或者称之为没有创新的劳动以外,剩下的那一点可以用来成长的时间也往往被日常的项目事务所切分地很凌乱。在跟这些有经验的UVM验证工程师沟通的过程中,我们会了解到他们被哪些大致 ...
个人分类: 验证系统思想|2929 次阅读|0 个评论
分享 验证平台自动化篇之一:你为什么需要一款代码生成器?
路科验证 2018-6-10 11:01
在介绍UVM的部分中,我们突出了它的结构性和复用性,UVM作为基于SystemVerilog语言的验证方法学,汇集了原有的方法学和特性,在最近的几年中已经成为了公认的验证方法学标准,而且从最新的消息来看,UVM-1.2已经被IEEE批准为1800.2的标准。这一消息无疑会让手握了IEEE-1800标准(SystemVerilog)的verifier们感到振奋,这 ...
个人分类: 验证系统思想|2878 次阅读|0 个评论
分享 UVM寄存器篇八:寄存器模型的场景应用(终)
路科验证 2018-5-20 13:48
如何检查寄存器模型 在了解了寄存器模型的常规方法之后,我们需要考虑如何利用这些方法来检查寄存器、以及协助检查硬件设计的逻辑和数据比对。要知道,在软件实现硬件驱动和固件层时,也会实现类似寄存器模型镜像值的方法,即在寄存器配置的底层函数中,同时也声明一些全局的影子寄存器(shadow register)。这些影子 ...
个人分类: 验证系统思想|3899 次阅读|0 个评论
分享 UVM寄存器篇之七:寄存器模型的常规方法(下)
路科验证 2018-5-20 13:46
mem与reg的联系和差别 UVM寄存器模型也可以用来对存储建模。uvm_mem类可以用来模拟RW(读写)、RO(只读)和WO(只写)类型的存储,并且可以配置其模型的数据宽度和地址范围。而uvm_mem不同于uvm_reg的地方在于,考虑到物理存储一旦映射到uvm_mem会带来更大的资源消耗,因此uvm_mem并不支持预测和影子存储(shadow sto ...
个人分类: 验证系统思想|4441 次阅读|0 个评论
分享 UVM寄存器篇之六:寄存器模型的常规方法(上)
路科验证 2018-5-20 13:44
mirrored、desired和actual value 在我们应用寄存器模型的时候,除了利用它的寄存器文件信息,也会利用它来跟踪寄存器的值。跟踪寄存器的值,一方面是建立 mirrored value ,另外一方面是为建立 desired value 。读到这里,读者们首先需要确立,任何一个寄存器模型中的寄存器实例,都应该有两个值,一个是镜像值(mirr ...
个人分类: 验证系统思想|4222 次阅读|0 个评论
分享 UVM寄存器篇之五:寄存器模型的集成(下)
路科验证 2018-5-20 13:35
前门访问 利用寄存器模型,我们可以更方便地对寄存器做操作。接下来我们分别两种访问寄存器的方式,即前门访问(front-door)和后门访问(back-door)。前门访问,顾名思义指的是在寄存器模型上做的读写操作,最终会通过总线UVC来实现总线上的物理时序访问,因此是真实的物理操作;而后门访问,指的是利用UVM DPI (uv ...
个人分类: 验证系统思想|5632 次阅读|0 个评论
分享 UVM寄存器篇之四:寄存器模型的集成(中)
路科验证 2018-5-20 13:33
MCDF寄存器模块代码 下面我们给出实现后的MCDF寄存器RTL设计代码: 上面的设计中采取了宏的方式来替代一些寄存器的序列号和地址,这些宏在稍后的寄存器模型在映射硬件寄存器路径上也使用了。这么做使得设计和验证都因为采用同一套宏,而在后期寄存器地址、位置等修改时,更易 ...
个人分类: 验证系统思想|3610 次阅读|0 个评论
分享 UVM寄存器篇之三:寄存器模型的集成(上)
路科验证 2018-5-20 13:29
我们在上一节大致了解了与寄存器相关的流程,包括寄存器描述文件和UVM寄存器模型生成。从上节给的寄存器模型流程图中我们可以看到,接下来需要考虑选择与DUT寄存器接口一致的总线UVC,该UVC会提供硬件级别的访问方式。要完成一次硬件级别的总线传输,往往需要考虑给出地址、数据队列、访问方式等,而寄存器模型可以使得硬 ...
个人分类: 验证系统思想|3589 次阅读|0 个评论
关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-20 07:45 , Processed in 0.031061 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部