在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
分享 simulink简单记录---(14) [2018年11月17日]
dongdong2016 2018-11-19 16:48
天气 : 又晴又阴 心情 : 是哭是笑 1.遇到的Matlab问题(二) ①randn randn(random normal distribution)是一种产生标准,正态分布的 ...
个人分类: simulink|1593 次阅读|0 个评论
分享 simulink简单记录---(13) [2018年11月15日]
dongdong2016 2018-11-16 14:56
天气 : 算晴吧 心情 : 还算平静吧 1.遇到过的问题 (一) ①simulink 根据flag的具体数值判断系统是计算连续部分还是离散部分 ,并调用相应的子函数,simulink在处理混合系统时将同时调用S-function的mdlUpdate、mdlOutput和mdlGetTimeOfNe ...
个人分类: simulink|1801 次阅读|0 个评论
分享 simulink简单记录---(12) [2018年11月14日]
dongdong2016 2018-11-14 19:54
天气 : 晴朗 心情 : 正常 1.S-function函数 System function的简称,是对一个动态系统的计算机程序语言描述。 S-function接收来自Simulink解法器的相关信息,并对解法器发出的命令做出适当的响应 ...
个人分类: simulink|2415 次阅读|0 个评论
分享 simulink简单记录---(11) [2018年11月13日]
dongdong2016 2018-11-13 16:05
天气 : 小晴 心情 : 小舒坦 1. 封装子系统 封装子系统指的是将已经建立好的具有一定功能的子系统进行封装。 封装子系统可执行的操作: ①用 单个参数框(包括模块说明、参数提示和帮助文本 ...
个人分类: simulink|1449 次阅读|0 个评论
分享 simulink简单记录---(10) [2018年11月12日]
dongdong2016 2018-11-12 16:11
天气 : 小晴 心情 : 小兴奋 1. While 语句 在 PortsSubsystem 模块库中的 While Iterator模块 创建类似C语言的循环控制流。 While控制流语句中,在每个时间步上都要反复执行While Iterator Subsystem中的内容,即原 ...
个人分类: simulink|1911 次阅读|0 个评论
分享 simulink简单记录---(9) [2018年11月10日]
dongdong2016 2018-11-10 10:48
天气 : 小晴 心情 : 莫名其妙 1.控制流语句 ① if-else语句 在PortsSubsystems模块库中的If模块和If Action Subsystem模块可以实现标准C语言的if-else条件逻辑语句 If模块的输入决定 ...
个人分类: simulink|1099 次阅读|0 个评论
分享 simulink简单记录---(8) [2018年11月08日]
dongdong2016 2018-11-8 14:56
天气 : 小阴天 心情 : 无味 1. 触发子系统 ① Trigger模块在 "PortSubsystem" 模块库中 ② 三种触发事件 : 上升沿触发;下降沿触发;双边沿触发(Either) 在Trigger ...
个人分类: simulink|2040 次阅读|0 个评论
分享 simulink简单记录---(7) [2018年11月07日]
dongdong2016 2018-11-7 10:30
天气 : 晴朗 心情 : 小开心 1.高级子系统 1.条件执行子系统 有些情况下,只有满足一定的条件时子系统才会被执行,依赖于控制信号 几个基本类型: ①使能子系统 ...
个人分类: simulink|1378 次阅读|0 个评论
分享 simulink简单记录---(6)[2018年11月06日]
dongdong2016 2018-11-6 16:05
天气 : 不冷 心情 : 小郁闷 1. 子系统 结构复杂时,把多个模块组合在子系统内的方式来简化模型外观。 ①虚拟子系统:提供了图形化的显示,简化外观,不影响模型的执行 &nbs ...
个人分类: simulink|1126 次阅读|0 个评论

本页有 1 篇日志因作者的隐私设置或未通过审核而隐藏

12下一页
关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-18 19:56 , Processed in 0.014340 second(s), 3 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部