imicman的个人空间 https://blog.eetop.cn/1518355 [收藏] [复制] [分享] [RSS]

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料

日志

$fsdbDumpvars

已有 38837 次阅读| 2016-6-21 23:34 |个人分类:验证杂谈

$fsdbDumpvars
Description
To dump signal-value-change information to the FSDB file instead of the VCD 
file. The syntax usage of this dumping command is exactly the same as for 
$dumpvars. 

When specified in the design:
$fsdbDumpvars;
$fsdbDumpvars([level] [, module | var]*);
$fsdbDumpvars("level=", level [, module | var]*);
$fsdbDumpvars("+no_functions" | ["level=", level] [, 
"+IO_Only"] ["+Reg_Only"] [, module | var]*);
$fsdbDumpvars([level][,[["+struct"|"+mda+packedOnly"| 
"+mda"|"+all"]|"+class"]][, module | var]*);
$fsdbDumpvars(level,"fullHierarchyName"[,dump-variables]);
When specified on the simulator command line:
Cadence: 
call fsdbDumpvars
call fsdbDumpvars [level] [, module | var]*
call fsdbDumpvars "+no_functions" | ["level=" level] 
["+IO_Only"] ["+Reg_Only"] [module | var]*
Modelsim:
fsdbDumpvars
fsdbDumpvars [level] [, module | var]*
Synopsys: 
$fsdbDumpvars;
$fsdbDumpvars([level] [, module | var]*);
$fsdbDumpvars("level=", level [, module | var]*);
$fsdbDumpvars("+no_functions" | ["level=", level] [, 
"+IO_Only"] ["+Reg_Only"] [, module | var]*);
$fsdbDumpvars([,[["+struct"|"+mda"|"+all"]|"+class"]]  
[, module | var]*); 
$fsdbDumpvars([level][,[["+struct"|"+mda+packedOnly"| 
"+mda"|"+all"]|"+class"]][, module | var]*);
$fsdbDumpvars(level,"fullHierarchyName"[,dump-variables]);

Arguments
level
Specify how many levels of hierarchy to dump for the subsequent scopes. If 
the subsequent arguments are signals, only these signals will be dumped. If 
you want to specify this level argument as variable type, you have to specify 
the first argument as string literal level= and the second argument as level 
argument. The default level value is 0. Level values have the following 
meanings: 
0: all signals in all scopes. 
1: all signals in current scope. 
2: all signals in the current scope and all scopes one level below. 
n: all signals in the current scope and all scopes n-1 levels below.
module | var
This definition gives either the module scope or signals that specify the 
objects to dump.
"+IO_Only"
If you specify this option, only IO port signals will be dumped.
"+Reg_Only"
If you specify this option, only reg type signals will be dumped.
"+all"
Dump all signals including the memory, MDA, packed array, structure, 
union and packed structure signals in all scopes specified in $fsdbDumpvars
 
or the entire design if no scope is specified. This option is valid only if you 
use the Novas object file for FSDB dumping (vcsd72 or vcsd2005.06) that 
supports SystemVerilog and the DKI interface of VCS 7.2 or later. You 
must also specify the +memcbk option when invoking VCS to compile your 
design.
"+class"
Dump signals under class in all scopes specified in $fsdbDumpvars or the 
entire design if no scope is specified. The signals under class will not be 
dumped if the "+class" option is not specified (even if the "+all" option is 
specified). This option is valid only if you use the Novas object file for 
FSDB dumping (vcsd72 or vcsd2005.06) that supports SystemVerilog and 
the DKI interface of VCS 7.2 or later.
"+mda"
Dump all memory and MDA signals in all scopes specified in 
$fsdbDumpvars or the entire design if no scope is specified. This option is 
valid only if you use the Novas object file for FSDB dumping (vcsd72 or 
vcsd2005.06) that supports SystemVerilog and the DKI interface of VCS 
7.2 or later. You must also specify the +memcbk option when invoking 
VCS to compile your design. "+mda" is not needed if "+all" is specified.
"+mda+packedOnly"
Only dump the packed signals in the design (without array and memory 
types). This option is valid only if you use the Novas object file for FSDB 
dumping with ius5.5_vhpi, vcsd2005.06, vcsd2006.06_mhpi and later 
versions. The following table summarizes if the packed or unpacked one-
dimensional/two-dimensional signals are dumped with or without the 
+mda+packedOnly and +mda options.
"+struct"
Dump struct, MDA struct (i.e. st2[0:1]), MDA in struct (i.e. st3.r[1:0][2:0]) 
and packed MDA in all scopes specified in $fsdbDumpvars or the entire 
design if no scope is specified. Unpacked array/MDA will not be dumped. 
This option is valid only if you use the Novas object file for FSDB dumping 
(vcsd72 or vcsd2005.06) that supports SystemVerilog and the DKI interface 
of VCS 7.2 or later. "+struct" is not needed if "+all" is specified.
"fullHierarchyName"
This definition gives either the full hierarchy name of the module or signal 
that specifies the objects to dump. This option is valid only if you use the 
Novas object file for FSDB dumping (vcsd2005.06_mixed) that supports 
Mixed-SystemVerilog/VHDL designs and DKI interface of VCS2005.06 or 
later. You also need to specify +memcbk option when you invoke VCS to 
compile your design.

dump_variables
You can specify the optional argument dump_variables to 1 for dumping 
the variables under process. The optional argument dump_variables is only 
valid with the vcsd72_mixed object files for FSDB dumping.

Examples
NOTE:  The following example uses the syntax for calling the FSDB dumping 
command in the design. Refer to the syntax section for the correct 
format for the simulator command line.
$fsdbDumpvars;
Dump all signals in the module that contains this statement and in all 
module instances below this module.
$fsdbDumpvars(0, system);
Dump all signals in the system module and in all module instances below 
system.
$fsdbDumpvars(1, "top.dut1.u1.clk");

点赞

发表评论 评论 (1 个评论)

回复 imicman 2016-6-22 21:43
https://zh.scribd.com/doc/63500618/Linking-Novas-Files-With-Simulators-and-Enabling-FSDB-Dumping

facelist

您需要登录后才可以评论 登录 | 注册

  • 关注TA
  • 加好友
  • 联系TA
  • 0

    周排名
  • 0

    月排名
  • 0

    总排名
  • 0

    关注
  • 1

    粉丝
  • 0

    好友
  • 8

    获赞
  • 34

    评论
  • 访问数
关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-17 03:55 , Processed in 0.021055 second(s), 12 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部