在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
分享 七:Register Abstraction Layer(从Registers/Adapter开始)
ee_king 2019-2-20 14:58
Registers/Adapter Overview UVM寄存器模型访问methods使用通用寄存器事务生成总线读写周期。 这些事务需要适应目标总线sequence_item。 适配器需要是双向的,以便将寄存器事务请求转换为总线sequence item,并且能够 ...
820 次阅读|0 个评论
分享 七:Register Abstraction Layer(从Registers/Specification开始)
ee_king 2019-2-20 11:00
Registers/Specification 连接到主处理器的硬件功能块通过存储器映射寄存器进行管理。 这意味着软件地址映射中的每个位对应于硬件触发器。 为了控制硬件并与之交互,软件必须读取和写入寄存器,因此使用抽象(称为硬件 - 软件接口或寄存器描 ...
1200 次阅读|0 个评论
分享 七:Register Abstraction Layer(从Registers_Using A Register Model开始)
ee_king 2019-2-20 10:17
Using A Register Model 一旦集成,测试平台用户就会使用寄存器模型来使用序列或通过分析组件(如记分板和功能覆盖率监视器)创建激励。 寄存器模型旨在使编写可重用的sequence更容易 ...
1066 次阅读|0 个评论
分享 七:Register Abstraction Layer(从Registers开始)
ee_king 2019-2-20 08:45
Registers 了解与UVM Register Package相关的所有方法学。 寄存器 章节内容: Registers (this page) Reg ...
1479 次阅读|0 个评论
分享 End Of Test Mechanisms(从Objections_Callback Hooks开始)
ee_king 2018-12-29 10:08
Callback Hooks 为所有基于uvm_component的object定义了以下回调。 raised() 由此组件或其任何子组件调用每次raise_objection; dropped() 由此组件或其任何子组件调用每次drop_objection; ...
1207 次阅读|0 个评论
分享 End Of Test Mechanisms(从Objections开始)
ee_king 2018-12-28 16:38
Objections Objections uvm_objection 类提供了一种在参与组件和 sequences 之间共享计数器的方法。 每个参与者可以异步地 “ 提起 ” 和 “ 撤销 ” 异议,这会增 ...
1864 次阅读|0 个评论
分享 End Of Test Mechanisms(从EndOfTest开始)
ee_king 2018-12-28 15:47
EndOfTest UVM test结束指南 - 了解可用的test结束机制和phase objections。 “End of Test” 章节内容 :   ...
1237 次阅读|0 个评论
分享 Analysis Components & Techniques(从predictor_matlab.svh开始)
ee_king 2018-12-28 15:08
predictor_matlab.svh 一旦我们编译并将matlab_dpi.so添加到Questa vsim命令行,我们就可以启动/停止MATLAB引擎并使用MATLAB引擎作为记分板预测器。 扩展了原始预测器,我们将build(),write()和report_phase()函数替换目的为了控制 ...
1176 次阅读|0 个评论
分享 Analysis Components & Techniques(从Using MATLAB as Scoreboard checker开始)
ee_king 2018-12-28 13:57
Using MATLAB as Scoreboard checker matlab_dpi.c 为了利用MATLAB函数作为记分板检查器,我们采用略微不同的方法,因为我们现在想直接从SV预测器代码调用我们的MATLAB函数,而不是通过HDL包装器进行通信。 MATLAB提 ...
1016 次阅读|0 个评论
分享 Analysis Components & Techniques(从hdl_adder.m开始)
ee_king 2018-12-28 13:46
hdl_adder.m 为了将我们的ml_adder函数用作DUT,我们创建了一个MATLAB包装器函数,我们可以将其连接到HDL模块。 EDA Simulator Link工具箱提供 ...
1477 次阅读|0 个评论
关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-19 00:04 , Processed in 0.024061 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部