############################################
# report_timing
############################################
report_timing -delay_type min -thr path0 -to end_point -exception all -nosplit -nets -input_pins -pba_mode -path_type full_clock_expanded -exception all
report_crpr -from [get_ports CLK_P] -to [get_pins clock_points]
# Timing arcs delay for lib cell
report_delay_calculation -of_objects [get_timing_arcs -of_object [get_cells -of_objects cell_path]]
# Get all the attribute of one cell
report_attribute [get_cells cell_path] -app
# Get timing arc
show_arcs [get_attr [get_cells cell_path] original_ref_name]
get_attr [get_pins pin_paht] launch_clocks
#
get_app_var
set_app_var
# Get the constraints infomation
check_timing -verbose > check_timing.rpt
no_clock_geb > no_clock.geb
untest_geb > untest.geb
report_analysis_coverage -status_details untested > coverage_all.rpt
report_analysis_coverage -sort_by_name -status_details untested -exclude_untested {false_path mode_disabled user_disabled no_clock} > coverage.rpt
report_analysis_coverage -sort_by_name -status_details untested
-exclude_untested {false_path constant_disabled} -check_type {setup hold recovery remove} >
coverage.rpt
report_constraint -all_violators -nosplit >
report_clock -skew -attribute
report_qor -significant_digits 3
#get clocks name
Get_clocks clkname
>{❝clkname❞}
Get_object_name [get_clocks clkname]
>clkname
get_attr [get_clocks clkname] period
>50000.000
Report_attr [get_clocks clkname] -app -nosplit
#disable timing arc of lib cell
Set_disable_timing [get_timing_arcs -to [get_pins -of_objects [get_cells -hier * -filter ❝ref_name =~ECCXOR*❞] -filter ❝lib_pin_name =~ Y*❞] -filter ❝sense=~positive_unate❞]
# disable all same cell,s instance
Set noseq-cell [list “ ffrtstpLvtX1 “ \
“FfrtstX1”\
“Cellname”]
Set total-nosed-Inst 0
Foreach type $noseq-cell-type {
Set noseq-latches “”
Set noseq-latches [ add-to-collection $noseq-latches [ filter-collection [get-cells - hier *] “ref-name =~ $type” ]]
Set total-cell [sizeof-collection $noseq-latches]
Foreach-in-collection cell $noseq-latches {
}
}