yuanpin318的个人空间 https://blog.eetop.cn/13812 [收藏] [复制] [分享] [RSS]

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料

日志

分享 Verdi启动
2018-8-29 00:40
verdi -ssf a.fsdb -no_log
个人分类: Verdi|640 次阅读|0 个评论
分享 UVM:Coverage Collector
2018-8-28 23:49
UVM function coverage collector一般有三种: 1. configuration coverage collector 检查testbench是否覆盖了environment的所有config 例如,不同的外部的eprom, N个driver... 基本代码: covergroup cfg_cg () with function sample(env_cfg cfg);   ...
个人分类: UVM|1955 次阅读|0 个评论
分享 uvm: tlm 1.0
2018-8-28 23:28
driver, monitor等不太需要,但实现复杂的scoreboard和coverage collector,需要用到UVM tlm1.0 或2.0的功能,但tlm 1.0简单实用,比较流行。 1. push Producer: uvm_blocking_put_port.put() Consumer: ...
个人分类: UVM|1170 次阅读|0 个评论
分享 UVM scoreboard
2018-8-28 23:15
UVM提供了base class uvm_in_order_comparator #(T,comp_type,convert,pair_type) two derived comparator: “uvm_in_order_build_in_comparator”: comparing streams of built-in types “uvm_in_order_class_comparator”: comparing streams of class objects “uvm_algorithmic_comparator": Compares ...
个人分类: UVM|1277 次阅读|0 个评论
分享 UVM History
2018-8-28 23:09
目前使用的多数是UVM-1.1, UVM-1.2 IEEE1800.2-2017正式发布 IEEE UVM
个人分类: UVM|781 次阅读|0 个评论
分享 UVM: How to access components in “sequence”
2018-8-28 22:54
Use “Query” (find()) to find the handle, then access Map the ref of handle into sequencer Use “ p_sequencer.xxx ” to access Map the virtual interface to sequencer
个人分类: UVM|688 次阅读|0 个评论
分享 UVM: 推荐使用main_phase而不是run_phase
2018-8-28 22:49
uvm1.1 后, uvm 的 run_phase 会与 12 个 task phase 并行执行, 12 个 task phase 包括 pre_reset_phase , reset_phase ,... main_phase 等等,一般的大部分人并不太用除了 main_phase 之外的别的 task phase。 因此一般使用可以认为 main_phase 跟 run_phase 几乎相同的作用,但是 ...
个人分类: UVM|5928 次阅读|0 个评论 热度 1
12345
  • 关注TA
  • 加好友
  • 联系TA
  • 0

    周排名
  • 0

    月排名
  • 0

    总排名
  • 0

    关注
  • 11

    粉丝
  • 2

    好友
  • 18

    获赞
  • 7

    评论
  • 3607

    访问数
关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-20 17:57 , Processed in 0.017451 second(s), 4 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部