在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
分享 04 uvm_sequence
yuanpin318 2019-7-11 11:40
1. Overview 用来产生激励, 由sequencer发送sequence_item给driver 1.1 和uvm_sequence有关的method 1.2 uvm_sequence基本的执行流程   ...
个人分类: UVM|1225 次阅读|0 个评论
分享 uvm_sequence_item
yuanpin318 2019-7-11 09:18
1. 从uvm_object扩展而来 1.1 uvm_object的method get_name(), get_full_name(), get_type(), UVM method的定制, 允许用户重新定 ...
个人分类: UVM|2072 次阅读|0 个评论
分享 UVM介绍
yuanpin318 2019-6-18 20:09
1. 三大机制 sequence, factory, config 2. OOP三大属性 封装(encapsulation), 多态(polymorphism), 继承(inheritance) 3.
个人分类: UVM|455 次阅读|0 个评论
分享 UVM process reset
yuanpin318 2019-5-24 15:58
reset 是异步信号, uvm 处理会 比较麻烦
个人分类: UVM|401 次阅读|0 个评论
分享 各种UVM agent
yuanpin318 2018-8-29 23:12
一般interface protocol把component分为master, slave Master: 发起transaction slave: 对transaction产生response 从是否驱动DUT的角度,可以分为: active:驱动DUT信号 (Driver){agent会有driver, monitor, sequencer} passive: 只监听DUT信号 ( ...
个人分类: UVM|1955 次阅读|0 个评论
分享 uvm parameterized component/object
yuanpin318 2018-8-29 09:23
class my_driver # ( int data_width = 32 ) extends uvm_driver # ( my_seq_item ) ; protected virtual my_interface # ( data_width ) my_vif ; //... endclass class my_agent # ( int data_width = 32 ) extends uvm_agent ; my_driver # ( data_ ...
个人分类: UVM|567 次阅读|0 个评论
分享 uvm callback
yuanpin318 2018-8-29 08:13
象下面用继承+factory实现callback的方法,导致unmanageable explosion of driver class class driver extends uvm_driver #(packet); `uvm_component_utils(driver) function new(string name, uvm_component parent); super.new(name, parent); & ...
个人分类: UVM|979 次阅读|0 个评论
分享 UVM:Coverage Collector
yuanpin318 2018-8-28 23:49
UVM function coverage collector一般有三种: 1. configuration coverage collector 检查testbench是否覆盖了environment的所有config 例如,不同的外部的eprom, N个driver... 基本代码: covergroup cfg_cg () with function sample(env_cfg cfg);   ...
个人分类: UVM|1924 次阅读|0 个评论
分享 uvm: tlm 1.0
yuanpin318 2018-8-28 23:28
driver, monitor等不太需要,但实现复杂的scoreboard和coverage collector,需要用到UVM tlm1.0 或2.0的功能,但tlm 1.0简单实用,比较流行。 1. push Producer: uvm_blocking_put_port.put() Consumer: ...
个人分类: UVM|1157 次阅读|0 个评论
分享 UVM scoreboard
yuanpin318 2018-8-28 23:15
UVM提供了base class uvm_in_order_comparator #(T,comp_type,convert,pair_type) two derived comparator: “uvm_in_order_build_in_comparator”: comparing streams of built-in types “uvm_in_order_class_comparator”: comparing streams of class objects “uvm_algorithmic_comparator": Compares ...
个人分类: UVM|1265 次阅读|0 个评论
12下一页
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-29 23:34 , Processed in 0.323291 second(s), 3 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部