lytyzu的个人空间 https://blog.eetop.cn/1074402 [收藏] [复制] [分享] [RSS]

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料

日志

分享 Zero Delay Glitch-Free Clock Multiplexer
2018-9-28 23:18
https://www.idt.com/products/clocks-timing/clock-distribution/clock-buffers-drivers/581-01-zero-delay-glitch-free-clock-multiplexer
1198 次阅读|0 个评论
分享 竞争冒险
2018-7-29 08:42
定义:由于竞争而在电路输出端可能产生尖峰脉冲的现象称为竞争-冒险现象 1、何为竞争 举一个课本上的例子,对于 与门 想必大家应该都熟悉,设与门的输入为A、B,输出为Y。 Y=AB,假设A=0,B=1为原输入,现在输入电平发生跳变,新输入A=1,B=0,输出电Y在这两个 状态下应该是一致的Y=0。理论上 ...
1540 次阅读|0 个评论
分享 竞争冒险学习笔记
2018-7-29 08:36
一、 竞争: 在组合逻辑电路中,某个输入变量通过两条或两条以上的途径传到输出端,由于每条途径延迟时间不同,到达输出门的时间就有先有后,这种现象称为竞争。把不会产生错误输出的竞争的现象称为非临界竞争。把产生暂时性的或永久性错误输出的竞争现象称为临界竞争。 冒险:是指数字电路中某个瞬间出现了非预期信 ...
1942 次阅读|0 个评论 热度 1
分享 lib cell clock balancing offset in clock latency report
2018-5-29 20:48
Regarding the "lib cell clock balancing offset", this value comes from the min/max_clock_tree_path library timing arcs. If there is a min/max_clock_tree_path in the library for the ETM pin,CTS will use the delay coming from the min/max_clock_tree_path arcs forthe ETM pin whil ...
2272 次阅读|0 个评论
分享 竞争冒险3
2018-5-19 00:35
1 引言   数字电路的基本门电路有与门、或门、非门,它们是构成各种复杂组合逻辑电路的基本逻辑单元。其逻辑功能为:与门“有0出0,全1出1”,或门“有1出1,全0出0”,非门“0非为1,1非为0”。他们的逻辑功能,只有在输入和输出状态稳定时才存在。在没有考虑信号通过导线和逻辑门的传输延迟时间的 ...
1875 次阅读|0 个评论
分享 竞争冒险2
2018-5-19 00:29
冒险是由变量的竞争引起的.冒险又分为逻辑冒险和功能冒险. 逻辑冒险的判断方法有两种: 1.代数法:在逻辑函数表达式中,若某个变量同时以原变量和反变量两种形式出现,就具备了竞争条件.去掉其余变量(也就是将其余变量取固定值0或1),留下有竞争能力的变量,如果表达式为 F=A+A~(因为上横杠打不出来,故 ...
559 次阅读|0 个评论
分享 竞争与冒险1
2018-5-19 00:01
竞争与冒险 在组合逻辑电路中,某个输入变量通过两条或两条以上的途径传到输出端,由于每条途径延迟时间不同, 到达输出门的时间就有先有后,这种现象称为竞争。 同一信号经过不同路径所需时间不同正是竞争产生的原因, 如果没有传输及门延时,就没有逻辑冒险了,那么(~A)A = ...
1386 次阅读|0 个评论

本页有 1 篇日志因作者的隐私设置或未通过审核而隐藏

  • 关注TA
  • 加好友
  • 联系TA
  • 0

    周排名
  • 0

    月排名
  • 0

    总排名
  • 0

    关注
  • 1

    粉丝
  • 1

    好友
  • 1

    获赞
  • 0

    评论
  • 1120

    访问数
关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-24 19:15 , Processed in 0.026936 second(s), 11 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部