luyuan_0922的个人空间 https://blog.eetop.cn/106870 [收藏] [复制] [分享] [RSS]

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料

日志

分享 vim
2014-12-27 18:05
以下大写字母均可以由shift+小写字母代替。 ctrl+r $ cw c$ daw 与 dw xp ddp gg 与G linenum+gg/G / 与 ? n 与 N %匹配 :s///g 与:s// :lineNo1,lineNo2s///g :%s///g :! :line_numA,line_numB w filename :r filename R ggVG macro recordin ...
532 次阅读|8 个评论
分享 linux du 命令
2014-12-26 00:41
du 命令功能说明:统计目录(或文件)所占磁盘空间的大小。 语  法: du 常用参数: -a或-all 为每个指定文件显示磁盘使用情况,或者为目录中每个文件显示各自磁盘使用情况。 -b或-bytes 显示目录或文件大小时,以byte为单位。 -c或–total 除了显示目录或文件的大小外,同时也显示 ...
780 次阅读|0 个评论
分享 p4 实用
2014-12-24 15:17
命令: 1、p4 client #配置本地信息,文件下载在哪里在这里面配置,Root项 2、p4 sync #从perforce 下载文件 3、p4 login #登陆perforce 4、p4 help #显示关于命令的帮助 5、p4 -h #显示关于p4的帮助 6 ...
945 次阅读|0 个评论
分享 使用Perforce 命令 与常用命令
2014-12-23 22:37
常用命令 - sync 最常使用的命令就是 sync 命令了, 这个命名是把服务端的数据更新到本地workspace 中 可以更新一个文件, 也可以更新一类文件, 还可以更新目录下所有的文件 1) 更新单个文件: view plain copy p4-Cutf8-pIP:port-uuser ...
5947 次阅读|0 个评论
分享 日志 [2014年12月22日]
2014-12-22 22:29
【博客大赛】PCIE学习分享之配置空间寄存器 发布时间:2013-08-01 11:44:33 技术类别: CPLD/FPGA 个人分类: PCIE 概述 当一个板卡出入到一个PCI、PCI-X或者PCI-E总线的时候,通过自动向配置空间读出和 ...
638 次阅读|0 个评论
分享 入职第三周
2014-12-22 21:59
今天是入职第三周的第一天,老大review我的vplan,很多地方,包括风格写的不符合要求。确实大公司的流程比较好,我也从来没这么写testplan,而且老大讲的很有道理。 同时这个礼拜的压力也来了。调通用例,update vplan。期待明天的工作。期待未来。 ...
554 次阅读|0 个评论
分享 日志 [2014年12月13日]
2014-12-13 16:50
入职两周了,压力很大,天天看协议,一个pm的vplan提的我也很困难,项目是没做过的复杂,IP level的验证竟然没有明确的input和output让我很蛋疼。上周经常2,3点睡觉。竟然有一天看书到5点半。。。 我想抗过这段时间就好了。 ...
324 次阅读|0 个评论
分享 20141103
2014-11-3 10:34
今天联系单位不知道结果怎么样,行的话去上海参加面试去!我觉得uvm没有什么,只要给我机会,我觉得不难。
338 次阅读|0 个评论
分享 日志 [2014年11月02日]
2014-11-2 11:12
闲着很有一段时间了,也没有出去旅游,也没有学习,感觉快废了。实在不能让人甘心。人心叵测,事情复杂,现在想想还是敲代码最单纯,最容易。 这也算是饿了一年了,看清楚了一些东西,这也挺好的,不算原地踏步。关于未来,看不到,只能黑着头走,就这烂性格,估计发迹。。。。。。难~~ ...
340 次阅读|0 个评论
1234
  • 关注TA
  • 加好友
  • 联系TA
  • 0

    周排名
  • 0

    月排名
  • 0

    总排名
  • 0

    关注
  • 4

    粉丝
  • 1

    好友
  • 1

    获赞
  • 11

    评论
  • 1002

    访问数
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-19 10:31 , Processed in 0.014630 second(s), 4 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部