longnv521的个人空间 https://blog.eetop.cn/475198 [收藏] [复制] [分享] [RSS]

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料

日志

ubuntu12.04(64bit) + VCS201606 解决./simv失败问题

已有 862 次阅读| 2019-9-15 11:56 |系统分类:芯片设计| Synopsys, 环境搭建

1.安装VCS2016 2.使用正确的破解工具
3.设置环境变量


备注:
1.设置VCS的环境变量时,不要选amd64或linux64
个人的环境变量和路径设置如下:
添加VCS相关路径


sudo vim  ~/.bashrc

#VCS2016
#这里不要选amd64 或linux64,否者运行失败!!!!!
#原因估计是与系统兼容性问题

export PATH="/FPGA/vcs2016/bin:"$PATH
export VCS_HOME="/FPGA/vcs2016"


alias vcs="vcs -full64"  //系统是64bit的,添加-full64


2.修改/etc/sysctl.d/10-ptrace.conf

kernel.yama.ptrace_scope = 0



3.测试vcs是否成功

vcs -full64 -sverilog test.sv -R


4.测试结果打印正常


../simv up to date
Chronologic VCS simulator copyright 1991-2016
Contains Synopsys proprietary information.
Compiler version L-2016.06_Full64; Runtime version L-2016.06_Full64;  Oct 29 18:02 2018
this is my first test!!
           V C S   S i m u l a t i o n   R e p o r t
Time: 0
CPU Time:      0.270 seconds;       Data structure size:   0.0Mb
Mon Oct 29 18:02:13 2018
CPU time: .159 seconds to compile + .224 seconds to elab + .248 seconds to link + .459 seconds in simulation

点赞

评论 (0 个评论)

facelist

您需要登录后才可以评论 登录 | 注册

  • 关注TA
  • 加好友
  • 联系TA
  • 0

    周排名
  • 0

    月排名
  • 0

    总排名
  • 0

    关注
  • 2

    粉丝
  • 0

    好友
  • 0

    获赞
  • 0

    评论
  • 272

    访问数
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-29 18:26 , Processed in 0.031396 second(s), 16 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部