jake的个人空间 https://blog.eetop.cn/1592 [收藏] [复制] [分享] [RSS]

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料

日志

Innovus 调用 Quantus QRC 生成 signoff SPEF

热度 17已有 5595 次阅读| 2021-5-28 12:15 |系统分类:芯片设计

以前常用的 flow 是从 Innovus 导出 GDS DEF,在命令行跑 Quantus QRC 生成 SPEF 现在 Innovus 改进了,可以直接在 Innovus 环境里调用 Quantus QRC生成 signoff 质量的 SPEF,非常方便。

Quantus QRC 需要 qrcTechFileMMMC 设置里必须要指定不同RC corner 对应的 qrcTechFile

Place & Route 都做完后可以用以下命令调用 Quantus QRC

setExtractRCMode \

  -engine postRoute \

  -effortLevel signoff \

  -coupled true \

  -lefTechFileMap ./SCRIPTS/qrclayermap.ccl

extractRC

rcOut -rc_corner $corner -spef $spefFile

这里 -effortLevel signoff 是必须的,否则 Innovus 会用自带的Native Detailed extraction engine TQuantus extraction engine,生成的 SPEF 精度差一些。

这里 -lefTechFileMap ./SCRIPTS/qrclayermap.ccl 不是必须的。通常Innovus 可以自动生成。如果报错,可以把这个选项加上。qrclayermap.ccl的作用是把Innovus 里的层和qrcTechFile里的层对应起来。Innovus 使用字符串代表层,如 MET1, VIA1 等等。Innovus 的层来自LEF OA tech libraryqrcTechFile 里也使用字符串代表层,但是和Innovus 的字符串不同,需要用这个qrclayermap.ccl把两者对应起来。下面是一个qrclayermap.ccl的例子。

extraction_setup \

               -technology_layer_map \

                              PO           poly \

                              CO           odcont \

                              M1           metal1 \

                              VIA1         via1 \

                              M2           metal2 \

                              VIA2         via2 \

                              M3           metal3 \

                              VIA3         via3 \

                              M4           metal4 \

                              VIA4         via4 \

                              M5           metal5 \

                              VIA5         via5 \

                              M6           metal6 \

左边这列是Innovus 的层,右边是qrcTechFile的层。如果工具无法自动生成qrclayermap.ccl,可以自己写一个。左边这列不是问题。右边这列可以用Techgen查到。

Techgen -techinfo <path>/qrcTechFile

image.png

根据Techgen的输出,不难找到对应的层。

还有一个简洁的方法。通常跑完route,就应该跑一下extractiontimeDesign。这个时候不需要signoff 精度的parasitics-effortLevel high就可以了。

setExtractRCMode -engine postRoute -coupled true -effortLevel high

extractRC

这时Innovus会在当前工作目录下生成一个extLogDir。在里面可以找到自动生成的layer map 信息。

extLogDir/IQRC_*/.layermap.log

 

14

点赞

刚表态过的朋友 (14 人)

发表评论 评论 (5 个评论)

回复 qingtingsanmo 2021-12-16 11:38
真厉害,希望多些innovus资料分享
回复 qingtingsanmo 2021-12-16 11:38
日志里有前端也有后端硬货,真厉害
回复 ellenqq2008 2023-4-24 15:57
谢谢分享!
回复 QM_WEI 2023-10-6 16:43
你好,想请教一下,这里导出的spef寄生参数,不同corner是只考虑了走线的rcmax, rcmin;还是包含了晶体管的不同工艺的corner呢
回复 jake 2023-10-7 23:47
QM_WEI: 你好,想请教一下,这里导出的spef寄生参数,不同corner是只考虑了走线的rcmax, rcmin;还是包含了晶体管的不同工艺的corner呢 ...
这里的spef只包括走线。Cell内部管子已经在对应不同corner的.lib里体现了

facelist

您需要登录后才可以评论 登录 | 注册

  • 关注TA
  • 加好友
  • 联系TA
  • 0

    周排名
  • 0

    月排名
  • 0

    总排名
  • 1

    关注
  • 222

    粉丝
  • 89

    好友
  • 278

    获赞
  • 269

    评论
  • 2261

    访问数
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-29 22:40 , Processed in 0.011560 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部