luyuan_0922的个人空间 https://blog.eetop.cn/106870 [收藏] [复制] [分享] [RSS]

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料

日志

uvm_driver 中的组件

已有 1506 次阅读| 2017-2-10 17:28 |个人分类:uvm|系统分类:硬件设计

一般UVM环境中的Driver组件,派生自uvm_driver。

uvm_dirver派生自uvm_component。  

          class  uvm_driver #(type REQ = uvm_sequence_item, type RSP = REQ)  extends uvm_component

其中定义了两个Ports:seq_item_port,driver一般用这个接口向sequencer索要sequence。

                              rsp_port,driver向相应的sequencer发送response。

还有一个new函数,再无其他。

driver内部通过调用get函数来向sequencer索要transaction

 

相应的uvm_sequencer,派生自uvm_sequencer_param_base,继而派生自uvm_sequencer_base。

    class  uvm_sequencer #(type REQ=uvm_sequence_item, RSP=REQ)  extends uvm_sequencer_param_base (#REQ,RSP)

定义有一个seq_item_export。提供访问这个sequencer的接口实现。

    uvm_seq_item_pull_imp #(REQ,RSP,this_type)  seq_item_export

其中接口的类型是uvm_sqr_if_base #(REQ,RSP)。

它实现的方法有:get_next_item/try_next_item,先在该sequencer中选择优先级最高的sequence,然后该sequence wait_for_grant,

                                                                    然后uvm_sequence_base::pre_do,randomized,post_do。

                       item_done,一旦上一个task被调用,那这个task也必须被调用。表示一个sequence结束,fifo可以移出。

 

Driver中在得到sequence后,需要将sequence变为DUT能够接收的信号类型。

在agent中必须在connect_phase中,连接driver.seq_item_port.connect(sequencer.seq_item_export)。所以sequence在执行时

        必须指定sequencer,而sequencer又与driver相连接在一起,最终完成向DUT发送transaction的目的。当然对于很简单的

         transaction也可以直接在sequence中就向DUT发送信号。

 

不过有一个疑惑的地方,sequence中的task body()与sequencer的seq_item_port的执行之间的关系是如何的。

       答:sequence body主要完成transaction的create/random/send,之后的transaction才会发送给driver

             sequencer会更根据poriority,phase,connect来调用正确的sequence给driver。


点赞

全部作者的其他最新日志

评论 (0 个评论)

facelist

您需要登录后才可以评论 登录 | 注册

  • 关注TA
  • 加好友
  • 联系TA
  • 0

    周排名
  • 0

    月排名
  • 0

    总排名
  • 0

    关注
  • 4

    粉丝
  • 1

    好友
  • 1

    获赞
  • 11

    评论
  • 1002

    访问数
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-19 11:49 , Processed in 0.013782 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部